3D IC and Through-Silicon-Via (TSV) Reliability

نویسنده

  • Hao Wu
چکیده

this report shows the survey of reliability of 3D IC manufactory and its robustness. Firstly, we consider the reliability of the manufactory of 3D IC. The process-induced thermal stresses around TSVs raise serious reliability issues such as Si cracking and performance degradation of devices. Finite element analysis (FEA) combined with analytical methods is introduced to investigate this issue, suggestions are also made from the analysis. Then we consider the robustness of 3D IC during its normal operation. Thermomigration and Electromigration are investigated. For thermomigration, two papers on simulating 3D IC temperature are introduced, one on circuit level, the other on package level. And a possible solution is suggested. For electromigration, EM lifetime modelling of TSV structure is introduced. The impact of TSV stress on EM lifetime of BEOL wires is also suggested. Keywords— 3D-IC, TSV, Thermomigration, Electromigration,

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Reliability of key technologies in 3D integration

3D IC packaging offers miniaturization, high performance, low power dissipation, high density and heterogeneous integration. Through-silicon via (TSV) and bonding technologies are the key technologies of 3D IC, and the corresponding reliability has to be well evaluated and qualified before real production applications. This paper reviews the emerging 3D interconnection technologies in worldwide...

متن کامل

Effects of TSVs (through-silicon vias) on thermal performances of 3D IC integration system-in-package (SiP)

Thermal performances of 3D IC integration system-in-package (SiP) with TSV (through silicon via) interposer/chip are investigated based on heat-transfer and CFD (computational fluid dynamic) analyses. Emphases are placed on the determination of (1) the equivalent thermal conductivity of interposers/chips with various copper-filled, aluminum-filled, and polymer w/o filler filled TSV diameters, p...

متن کامل

Electrical Characterization of 3d Stacked Tsv with Voids

Through-silicon via (TSV) is a key component for the vertical interconnection of a 3D IC which can provide a significant performance improvement with greatly reduced physical length of channels among vertically integrated chips. TSV technology is used both in three-dimensional stacked ICs (3D-SICs), as well as in so-called 2.5D-SICs and supports high performance, small footprint and lower power...

متن کامل

Propagation Delay Analysis of a Soft Open Defect inside a TSV

The propagation delay of a logic signal through a through silicon via (TSV) in a 3D IC may depend on a soft open defect inside it. The propagation delay of a defective TSV which is connected only with barrier metal, in part owing to a soft open defect, is analyzed with an electromagnetic simulator and a circuit one in this paper. The results reveal that if such a soft open defect occurs inside ...

متن کامل

TSV-Aware 3D Physical Design Tool Needs for Faster Mainstream Acceptance of 3D ICs

This article presents several grand challenges in the area of physical design for through-silicon via (TSV) based 3D ICs. Most of these issues are centered around TSVs, which are a new element of the 3D IC layout. Fundamental understanding of the electrical, mechanical, and thermal properties of TSVs is essential in successful physical design of TSV-based 3D ICs. Further investigation of the im...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 2012